[問題] Matlab傳資料到FPGA中

作者: cadence (小工程師)   2016-04-08 21:46:36
大家好,小弟最近在做研究,希望可以透過Matlab將圖片用UART
的方式把資料傳到板子上,運算完之後再傳回給PC,找了一些資
料發現大多是討論從板子利用UART把資料傳給PC,再用Matlab設定
COM和baudrate去取值,好像沒有看到用Matlab在PC端傳給板子的
方式,想請問一下有什麼方式可以實現上敘方式或著是關鍵字可以
進一步查詢。謝謝~
目前是利用Matlab將圖片轉成binary格式的txt,但後續傳值給板子
還沒有什麼頭緒,因為板子有UART port所以直覺想用UART,而且也
沒有real time的要求

Links booklink

Contact Us: admin [ a t ] ucptt.com