[問題] Verilog @() 疑問

作者: gecer (gecer)   2017-05-29 18:26:03
小弟在參考verilog code 絕大多數都是
always @(.....) 有時候會看到
inital
begin
@(...)
end
前面沒有帶alway 請教這是什麼意思?

Links booklink

Contact Us: admin [ a t ] ucptt.com