Re: [請益] 拿手機看盤 大家公司會管嗎?

作者: baseband112 (baseband)   2017-09-21 07:42:46
其實謝謝很多推我文的人喔
不管你是罵我或是啥的 只要有提供意見就是感謝您 小弟的考量有以下幾點
1. 雖然我說手機看盤 可是我其他時間都很認真的把事情完成呀QQ
而且做不完事情我也都主動加班, 既然我願意做額外時間
那其實我有些時間下單不為過吧? 因為其實我都會認真把事情完成
並非炒股不做事情好嗎QQ
其實我個人是把寫電路跟軟體當休閒娛樂 所以其實沒啥不做事情的道理呀
而且我願意花額外的時間做事情怎麼就不說!?
其實真要說 我以前其實上課也都沒聽課呀 可是我也不是故意的 就無法專心上課
上班我真的沒辦法專心維持那麼久 其實我就是喜歡幾個小時集中把一星期事情做完
2. 其實小弟我的 200多萬 有部分是靠寫案子來的,
所以真正目前每個月穩定的只有 130幾而已 我的目標是明年起不用再做案子
所以薪水真的只能夠生活 如果我沒辦法再透過其他管道獲利 真的月光
所以投資跟薪水我真的都需要 目前我的確是投資只能每個月賺跟薪水差不多
我家一年要花掉大概120-130(粗估)的開銷 所以如果我全職操盤就算每個月獲利10幾萬
也大概會被薪水壓垮 這樣壓力未免太大 所以小弟我是萬不敢全職操盤的
目前的心理狀態有點像這樣以下這樣, 小弟講verilog好了QQ
// 沒想到真的把這個電路寫完 我一定是太閒了= =
// 這個nc 一定過不了 所以不要太苛責了= =
module mood_feel
(
input clk,
input rst_n,
input future_trend,
input have_trade,
input earn_money,
output spend_money,
output 發廢文抱怨,
output feel_money_insufficient,
output [3:0] mood_status
);
reg feel_money_insufficient;
parameter STA_SAD = 4'd0;
parameter STA_HAPPY = 4'd1;
parameter STA_WORKHARD = 4'd2;
parameter STA_NOEARN = 4'd3;
parameter STA_NORMAL = 4'd4;
reg [3:0] mood_status;
reg [3:0] mood_status_next;
reg spend_money;
reg spend_money_next;
reg 發廢文_next;
reg 發廢文抱怨;
[email protected](posedge clk or negedge rst_n)
begin
if (~rst_n)
begin
mood_status <= 4'd4;
end
else
begin
mood_status <= mood_status_next;
end
end
always @(posedge clk or negedge rst_n)
begin
if (~rst_n)
feel_money_insufficient <= 1'b1;
spend_money <= 1'b0;
發廢文抱怨 <= 1'b0;
else
begin
feel_money_insufficient <= 1'b1;
spend_money <= spend_money_next;
發廢文抱怨 <= 發廢文抱怨_next;
end
end
always @(*)
begin
spend_money_next = 0;
發廢文抱怨_next = 0;
case (mood_status)
STA_NORMAL:
begin
if (future_trend == 1)
begin
mood_status_next = have_trade ? STA_HAPPY:
STA_NOEARN;
end
end
STA_HAPPY:
begin
if (earn_money == 1)
begin
spend_money_next = 1;
mood_status_next = STA_WORKHARD;
end
else
begin
mood_status_next = STA_NORMAL;
end
end
STA_NOEARN:
begin
mood_status_next = STA_SAD;
end
STA_SAD:
begin
mood_status_next = STA_NORMAL;
發廢文抱怨_next = 1;
end
STA_WORKHARD:
begin
mood_status_next = STA_NORMAL;
end
endcase
end
endmodule

Links booklink

Contact Us: admin [ a t ] ucptt.com