對不起喔, 借原文問一下
我歸納了一下這個版其實有個現象,
寫塊電路來分析一下
module 在網路討薪資
(
output reg [100:0] result,
input clk,
input rst_n,
input serious,
input [100:0] user_talk
);
reg [100:0] comment;
always @*
if ( user_talk == 講自己低薪 )
begin
comment = 你這魯蛇
end
else if ( user_talk == 講自己高薪 )
begin
comment = ( GG == 1 or MmNPR == 1 ) ? 唬爛, 你不在這家公司 :
( 沒聽過的公司 == 1 ) ? 唬爛的, 公司沒這種薪水 :
( PO 薪資單 == 1 ) ? 唬爛的, 你一定修圖 :
有種PO 薪資 ;
end
always @( posedge clk or negedge rst_n )
if (~rst_n)
begin
result <= 你就輸了
end
else
begin
casex ( serious )
1'bx:
begin
result <= 你就輸了
end
endcase
end
endmodule