小弟也是個魯蛇,但是在科技業待了幾年了,發現其實科技業真的是"寫code寫的好,要飯要到老"。
如果以科技業"工作5年可以提升多少月薪跟年薪"來當例子,當然5年都待在同一家公司寫code,絕對是最少的報酬,
那如何可以在5年內有最高報酬呢?就是一定要"跳槽",而且要會談條件,簡單講就是要會嘴,要會虎爛,要會談條件,要會吵糖吃,假如你第一間公司年薪100萬,那下一家公司就一定要談至少150萬,然後順便要求升等條件,然後第二年做完年薪150萬,又有了資深的title,就馬上用這樣的條件找下一家公司談,假設談年薪200萬,然後要求兩年後要給升等主任工程師,又過了兩年達標之後,又可以用這樣的條件再找下一家談判,如年薪300萬,以此類推。
所以說台灣科技業重點不在你多會寫code,越會寫,就只是要飯要到越老而已,假設你5年都在100萬的公司,可能過了5年都沒有150萬,完全看不到跳槽的車尾燈,人生都浪費了。
所以台灣科技業,重點就是要會嘴,要會包裝自己能力,要會吹噓,也要會吵著要糖果,當然也不能太混,只要敢談條件,基本上要到機會進去之後,稍微認真一點,忍個兩年達到目標,就可以找下一個跳板了,再繼續包裝履歷。
講這麼多其實我只是想表達,年薪80萬到300萬,真的不是這麼遠,只要用對方法,1或2年就可以做到,這是真的,沒什麼好酸的。
※ 引述《baseband112 (baseband)》之銘言:
: 對不起喔, 借原文問一下
: 我歸納了一下這個版其實有個現象,
: 寫塊電路來分析一下
: module 在網路討薪資
: (
: output reg [100:0] result,
: input clk,
: input rst_n,
: input serious,
: input [100:0] user_talk
: );
: reg [100:0] comment;
: always @*
: if ( user_talk == 講自己低薪 )
: begin
: comment = 你這魯蛇
: end
: else if ( user_talk == 講自己高薪 )
: begin
: comment = ( GG == 1 or MmNPR == 1 ) ? 唬爛, 你不在這家公司 :
: ( 沒聽過的公司 == 1 ) ? 唬爛的, 公司沒這種薪水 :
: ( PO 薪資單 == 1 ) ? 唬爛的, 你一定修圖 :
: 有種PO 薪資 ;
: end
: always @( posedge clk or negedge rst_n )
: if (~rst_n)
: begin
: result <= 你就輸了
: end
: else
: begin
: casex ( serious )
: 1'bx:
: begin
: result <= 你就輸了
: end
: endcase
: end
: endmodule